View : 643 Download: 0

Full metadata record

DC Field Value Language
dc.contributor.author지창현*
dc.date.accessioned2016-08-28T11:08:09Z-
dc.date.available2016-08-28T11:08:09Z-
dc.date.issued2013*
dc.identifier.issn1057-7157*
dc.identifier.otherOAK-13993*
dc.identifier.urihttps://dspace.ewha.ac.kr/handle/2015.oak/229930-
dc.description.abstractThis paper presents complementary-metal-oxide-semiconductor-compatible silicon-embedding techniques for on-chip integration of microelectromechanical- system devices with 3-D complex structures. By taking advantage of the 'dead volume' within the bulk of the silicon wafer, functional devices with large profile can be embedded into the substrate without consuming valuable die area on the wafer surface or increasing the packaging complexity. Furthermore, through-wafer interconnects can be implemented to connect the device to the circuitry on the wafer surface. The key challenge of embedding structures within the wafer volume is processing inside deep trenches. To achieve this goal in an area-efficient manner, straight-sidewall trenches are desired, adding additional difficulty to the embedding process. Two approaches to achieve this goal are presented in this paper, i.e., a lithography-based process and a shadow-mask-based process. The lithography-based process utilizes a spray-coating technique and proximity lithography in combination with thick epoxy processing and laminated dry-film lithography. The shadow-mask-based process employs a specially designed 3-D silicon shadow mask to enable simultaneous metal patterning on both the vertical sidewall and the bottom surface of the trench during deposition, eliminating multiple lithography steps and reducing the process time. Both techniques have been demonstrated through the embedding of the topologically complex 3-D toroidal inductors into the silicon substrate for power supply on-chip (PwrSoC) applications. Embedded 3-D inductors that possess 25 turns and a diameter of 6 mm in a silicon trench of 300-μm depth achieve overall inductances of 45-60 nH, dc resistances of 290-400 mω, and quality factors of 16-17.5 at 40-70 MHz. © 2012 IEEE.*
dc.languageEnglish*
dc.titleSilicon-embedding approaches to 3-D toroidal inductor fabrication*
dc.typeArticle*
dc.relation.issue3*
dc.relation.volume22*
dc.relation.indexSCI*
dc.relation.indexSCIE*
dc.relation.indexSCOPUS*
dc.relation.startpage580*
dc.relation.lastpage588*
dc.relation.journaltitleJournal of Microelectromechanical Systems*
dc.identifier.doi10.1109/JMEMS.2012.2233718*
dc.identifier.scopusid2-s2.0-84878590415*
dc.author.googleYu X.*
dc.author.googleKim M.*
dc.author.googleHerrault F.*
dc.author.googleJi C.-H.*
dc.author.googleKim J.*
dc.author.googleAllen M.G.*
dc.contributor.scopusid지창현(7202015390)*
dc.date.modifydate20240322125611*
Appears in Collections:
공과대학 > 전자전기공학전공 > Journal papers
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

BROWSE