View : 1518 Download: 0

Analysis of Optimized Read/Write Operation in 3D Vertical Resistive Random Access Memory (VRRAM)

Title
Analysis of Optimized Read/Write Operation in 3D Vertical Resistive Random Access Memory (VRRAM)
Other Titles
3D vertical resistive random access memory(VRRAM)의 최적화된 Read/Write 동작 특성에 관한 연구
Authors
최수진
Issue Date
2019
Department/Major
대학원 전자전기공학과
Publisher
이화여자대학교 대학원
Degree
Doctor
Advisors
신형순
Abstract
DRAM, SRAM, NAND flash 메모리와 같은 기존 반도체 메모리는 소자의 집적도가 높아짐에 따라 저장된 전하를 쉽게 잃어버리는 물리적 한계에 도달했다. 따라서 이러한 한계를 극복할 수 있는 새로운 메모리가 요구되고 있다. 이에 따라 여러 차세대 메모리에 대한 연구가 활발히 진행되고 있으며, 이 중 저항 변화를 이용한 RRAM은 비 휘발성, 간단한 구조, 고속 동작, 저전력 소모 등의 장점을 가지고 있다. 특히, RRAM은 2단자의 간단한 구조로 crossbar array에 적용 가능하여 메모리 집적도를 향상시킬 수 있어 더욱 주목 받고 있다. 최근에는 초고집적 메모리 구현을 위하여 crossbar array를 적층 하거나 3D NAND flash 공정 기술을 이용한 vertical 구조의 3D RRAM (VRRAM)에 관한 연구가 진행 중이다. 특히 3D VRRAM은 bit 당 제작 가격을 줄이는데 용이하여 3D NAND flash를 대체할 차세대 메모리로 주목 받고 있다. 하지만 기존 연구들은 대부분 단일 메모리 수준에서 이루어 졌으며, array 내에서의 메모리 동작 특성에 대한 연구는 대부분 하나의 3D VRRAM 구조나 전압 인가 방식에 대해서만 진행되었다. 따라서 다양한 구조 및 전압 인가 방식에 대하여 3D VRRAM array 내 read/write 동작 특성에 관한 종합적인 분석이 필요하다. 또한 array size가 커짐에 따라 array 내 개별 메모리 cell들의 산포가 증가하여 read margin을 열화 시키기 때문에 메모리 동작 특성 평가 시 cell 산포를 고려하는 것이 중요하다. 하지만 3D VRRAM에서 cell의 산포 특성을 고려한 read/write 동작 특성 평가에 대한 연구는 거의 이루어 지지 않아 이에 대한 연구도 필요하다. 본 논문에서는 먼저 3D VRRAM 구조에 적합한 RRAM cell model을 제시하였다. 기존 2D crossbar array에서는 nonlinearity 확보를 위해 resistor에 선택소자를 결합하여 하나의 RRAM으로 이용하였다. 하지만, 3D VRRAM 구조 상 선택소자를 사용할 수 없기 때문에 본 논문에서는 self-rectifying cell (SRC) 특성을 고려하여 단일 RRAM cell을 modeling 하였다. 이는 보고되고 있는 transition metal oxide 기반의 SRC 전기적 특성을 잘 반영하고 있다. 이를 array 내에 적용하여 read/write 동작 특성 평가를 하기 위하여 3D VRRAM의 다양한 구조에 대한 SPICE circuit model을 구현하였다. 3D VRRAM 구조로는 크게 WL plane 과 WL even/odd 구조가 있으며, 본 논문에서는 이 두 구조에서의 메모리 성능을 다양한 array size와 전압 인가 방식에 따라 비교하였다. 그 결과, read와 write 동작을 위한 최적의 전압 인가 방식이 다르다는 것을 확인하였다. 또한 최적의 전압 인가 방식을 적용 시, 3D VRRAM 구조에 따른 동작 특성 차이가 미미함을 통해 메모리 집적도가 더 높은 WL even/odd 구조가 3D VRRAM 구조로 더 적합함을 알 수 있었다. 고집적 메모리를 시뮬레이션을 하기 위해서는 과도한 CPU 시간이 요구되며, 시간을 단축시키기 위하여 이웃한 여러 cell들을 묶어 circuit을 간소화하는 reduced circuit model이 이용된다. 본 논문에서는 3D VRRAM 구조에 적합한 modified lumping 기법을 적용한 reduced circuit model을 제안하였으며, 이는 시뮬레이션 시간을 현저히 줄일 수 있고, 동시에 높은 정확도를 보이는 것을 확인하였다. 이러한 circuit model을 이용하여 cell 산포 특성을 고려한 read/write 동작 특성을 다양한 array size에 따라 살펴보았다. 그 결과, cell 산포가 증가함에 따라 read margin은 감소하는 반면 write power는 거의 변하지 않았다. 또한 RRAM 전기적 특성 중 하나인 저 저항상태와 고 저항상태의 저항 비를 의미하는 on/off ratio 가 클수록 margin이 향상된다고 보고되고 있어 본 논문에서 on/off ratio에 따른 cell 산포의 영향에 대해서도 살펴보았다. 3D VRRAM array의 층 수가 낮을 때에는 큰 on/off ratio를 가질수록 read margin이 향상되며 cell 산포에 의한 열화도 적은 것을 알 수 있었다. 하지만 층 수가 높을 때에는 큰 on/off ratio 가 read margin 향상에 미치는 영향이 미미해진다. 분석한 결과, 층 수가 증가함에 따라 선택된 pillar에 위치한 half-selected cell들로 인한 leakage가 증가하는데, on/off ratio가 증가하면서 이 leakage도 증가하기 때문에 on/off ratio가 큰 것으로 인한 이득이 상쇄되는 것을 알 수 있었다. 이와 같은 연구 결과는 차세대 비 휘발성 고집적 메모리로서 3D VRRAM을 디자인하는데 있어 상당한 이해와 도움을 줄 것으로 기대된다.;In recent years, new mobile applications have led to the growth of the non-volatile memory (NVM) market, and the emerging NVM is expected to replace flash memory because it overcomes the integration limit and reliability issues of flash memory. Among the several emerging NVMs, resistive random-access memory (RRAM) has many advantages including a simple 2-terminal structure and compatibility with the crossbar array architecture, which can be easily fabricated in multiple layers. However, such three-dimensional (3D) stacking RRAM requires process steps for each additional memory layer, whereas only a single critical lithography and etch step is used for fabricating 3D vertical RRAM (VRRAM). Therefore, 3D VRRAM architecture has attracted attention to reduce the bit cost for resistive memory in post-NAND applications. Moreover, RRAM cell variability in a large array can degrade the read margin. As a result, analysis of read and write performances in 3D VRRAM array should be conducted by considering various conditions, such as different 3D structures, bias schemes, and cell variability. However, most of reported studies on 3D VRRAM have been experimental demonstrated at single memory cell level. Several works have examined memory performance in only one 3D VRRAM architecture or bias scheme. Moreover, RRAM cell variability degrades the memory performance but research regarding the impact of cell variability in 3D VRRAM is lacking. The object of this dissertation is to propose a guideline for read and write operations in 3D VRRAM arrays by analyzing the read and write performances for various 3D VRRAM architectures and bias schemes. Furthermore, the impact of cell variability on the 3D VRRAM architecture with various array sizes and electrical characteristics of RRAM cell is analyzed. In a conventional 2D crossbar array, the RRAM cell consists of a selector and a resistor. However, such a selector is inappropriate in the 3D VRRAM architecture due to the risk of a conductive electrode connecting the cells on the same vertical pillar. Therefore, self-rectifying cell (SRC) is required for 3D VRRAM architectures to obtain sufficient nonlinearity without a selector. In this dissertation, RRAM cell is modeled by representing the experimental data of transition metal oxide (TMO)-based SRC well, and this cell model is applied to the HSPICE circuit simulator. There are two kinds of 3D VRRAM architecture with different word line (WL) structures. A VRRAM with a WL plane structure uses the metal planes as WL electrodes, whereas VRRAM with a WL even/odd structure has comb-shaped WLs separated by etching. In this dissertation, memory performances are compared in different WL structures according various bias conditions and array sizes, and it is found that the WL even/odd structure is promising for the 3D VRRAM architecture. The RRAM cell variability has been reported to degrade the performance of memory in 2D crossbar arrays. A large distribution of low- and high-resistance state read currents in a large array reduces the read margin. However, theoretical investigation regarding the impact of cell variability on 3D VRRAM are lacking. Moreover, to analyze the impact of cell variability on a large 3D VRRAM array, a reduced circuit model is necessary in order to reduce the simulation time. Therefore, we develop a reduced circuit model for a 3D VRRAM architecture using a modified lumping method. The proposed method substantially reduces the computation time while maintaining the accuracy. Using the reduced circuit model, read and write performances including cell variation are investigated according to various array sizes. Moreover, the on/off ratio is one of the key parameters to determine the RRAM characteristic and a large on/off ratio can improve the read margin. Therefore, the variability effect on the read margin according to the on/off ratio is also investigated. In conclusion, we propose an optimized design guideline for 3D VRRAM by analyzing the read and write performances in 3D VRRAM array for various 3D structures, bias schemes, and statistical variations. The results of this dissertation are expected to be useful for commercialization of 3D VRRAM as a high-density next-generation NVM.
Fulltext
Show the fulltext
Appears in Collections:
일반대학원 > 전자전기공학과 > Theses_Ph.D
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML


qrcode

BROWSE